2

When trying to get the Clock waveform to display in EDA Playground I get the error

Execution interrupted or reached maximum runtime.

How do I get the wave form to show?

Code on EDA Playground:

module test;
  reg clk;
  
  initial 
    begin
      $dumpfile("dump.vcd");
      $dumpvars(1);
      clk=0;
    end
  
  always
    begin
      #1 clk<=~clk;
    end
  
endmodule
0

1 Answer 1

3

There was no $finish so as the sim ran indefinitely and was killed by the server. Adding #100 $finish; to your main test program would give you 50 clocks example on EDA Playground.

module test;
  reg clk;

  initial 
    begin
      $dumpfile("dump.vcd");
      $dumpvars(1);
      clk=0;
      #100 $finish; //<-- End simulation
    end

  always
    begin
      #1 clk<=~clk;
    end

endmodule
Sign up to request clarification or add additional context in comments.

Comments

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Start asking to get answers

Find the answer to your question by asking.

Ask question

Explore related questions

See similar questions with these tags.