Skip to main content
Filter by
Sorted by
Tagged with
0 votes
2 answers
107 views

I am trying to understand Wallace Trees. The algorithm goes multiply each bit of one number a by each bit of the other (b), which is accomplished as a simple AND gate, where the partial product of ...
yosmo78's user avatar
  • 641
0 votes
0 answers
60 views

I'm trying to develop a Elevator FSM machine in quartus in order to get his equivalent to HDL code. The FSM is this: But when I set the Input, transitions and output in "State Machine Wizard&...
Gr_10's user avatar
  • 71
1 vote
1 answer
94 views

Let's say we're trying to write a Verilog/SystemVerilog testbench code named SC_TB for module sample_code. Is there a more practical way of seeing what reg B and wire Cw is doing in testbench, without ...
Mister Moron's user avatar
0 votes
0 answers
87 views

I am new to Yosys, and I want to optimize arbitrary netlists to a set of complex cells. For example's sake, let's consider an or-reductions: red_or3x1_test.v module test (A, Y); input [6:0] A; ...
tamo's user avatar
  • 11
1 vote
1 answer
95 views

My assignment is to create a multiplexer given a table. So far, this is what I have. A and B are 4-bit inputs via toggle switch, S is the MUX controller, Cin is a carry-in that we assign a toggle ...
Jean Ralphio's user avatar
-1 votes
1 answer
347 views

Modeling a D-type Flip Flop in Verilog with gate-level modeling vs. behavioral modeling seems to result in state transitions happening at different edges of the clock signal. I'm sure I'm missing ...
Patrick's user avatar
1 vote
1 answer
138 views

How and where do I perform parameter override to this question? While I try to override it using #, I am receiving a warning stating that the port size does not match to the inputs and outputs or the ...
Cecelia's user avatar
  • 11
0 votes
1 answer
569 views

In the pic of the ALU, I've implemented the logic to calculate the zero, negative and carry flags. But I can't figure out how to implement the overflow flag without using the second last carry (carry-...
Venkat Balachandra's user avatar
0 votes
1 answer
127 views

The inputs are A[2:0], B[2:0] and C[2:0] respectively. The outputs are E,RA,RB,RC. If at least at one of the inputs (A,B,C) none of the bits are equal to 1 or if at least at one input the bits that ...
Bhuhu's user avatar
  • 1
0 votes
1 answer
321 views

I am using cocotb to simulate a large SystemVerilog design. When I run the simulation, I see a very large number of log warnings of the form: [timestamp] ++BUS CONFLICT++ : top.submodule1.submodule2....
Adam Q's user avatar
  • 101
-1 votes
1 answer
140 views

For a lab I must create the logic to use on a Digilent PMOD ALS. In the lab requirenment I cannot use the sclk signal on the sensitivity list and therefore use a state machine to create the 2.5 MHz ...
tiki's user avatar
  • 1
-2 votes
4 answers
152 views

Here's a doubt I am facing here. The code with its purpose in docstring is below : This is the correct code but I am confused about the final 'if statement' in the blackjack_hand_greater_than(a,b) ...
novice's user avatar
  • 3
1 vote
2 answers
222 views

𝐹(𝐴, 𝐵, 𝐶, 𝐷) = (𝐷′ + 𝐴𝐵′)(𝐴𝐷 + 𝐶′)𝐵′ + 𝐵𝐷′(𝐴′ + 𝐶′) + 𝐴′ like this combined expression where SOP and POS are both available how can I know which are sop & which are pos? I am ...
Mohit's user avatar
  • 11
0 votes
1 answer
1k views

I try to write VHDL code in Vivado to show multiply 8 bit number by 1,2,3,4. i got the error in line (y <= ..) : " width mismatch in assignment; target has 10 bits, source has 8 bits error in ...
Eve's user avatar
  • 1
1 vote
3 answers
783 views

I've decided to start learning some logic design recently. I'm current at the very first unit in the book I'm using (Fundamentals of Logic Design - 5th Edition if it's of any importance) and it's ...
ManedManRicky's user avatar
0 votes
1 answer
405 views

I know logical ADD in binary is 0010, and logical AND in binary is 0000. How do I go from this to a full operation?
aflo7's user avatar
  • 58
0 votes
2 answers
91 views

I am trying to figure out this question. I posted my code below. It doesn't work properly. it seems like its not multiplying the 2 least significant nibbles. I don't know AVR very well. Write AVR that ...
Dawgburt's user avatar
0 votes
1 answer
376 views

I'm trying to implement two-digit-bcd-counter in VHDL, by referring to this diagram: This is my code for it: library ieee; use ieee.std_logic_1164.all; entity two_digit_bcd_counter is port( v_cc ...
theCursedPirate's user avatar
0 votes
2 answers
386 views

I am trying to create a 4-bit SISO register in VHDL, and this is my main code: library ieee; use ieee.std_logic_1164.all; entity right_shift_siso_reg_4 is port( D_in : in std_logic; clk : ...
theCursedPirate's user avatar
1 vote
1 answer
704 views

I'm trying to implement JK flip-flop in VHDL, and here is my code: library ieee; use ieee.std_logic_1164.all; entity jk_flip_flop is port( J, K : in std_logic; clk : in std_logic; ...
theCursedPirate's user avatar
0 votes
1 answer
459 views

Consider the following digital logic circuit, which has multiple inputs and one output: The logic circuit above can be represented in tree form: This tree representation could then be used in a tree-...
Flux's user avatar
  • 11.1k
0 votes
1 answer
71 views

The following image is from the datasheet of SRAM IS64WV51216BLL(page 15). It is a SRAM's write timing diagram.I don't know the meaning of double transitions for WE signal.I have circled it in red. ...
Jan's user avatar
  • 5
1 vote
1 answer
401 views

How can I create a K-MAP by looking at this function.I dont know how to create one
Emirhan Selim Uzun's user avatar
0 votes
1 answer
1k views

How can you implement the following function with only four NOR gates and inverters: F = w’xz + w’yz + x’yz’ + wxy’z d = wyz
AAK ANKIN SKYWALKER's user avatar
0 votes
1 answer
2k views

How does this line of code below works as the multiplication of (1024-31)? The code is intended to be synthesized for FPGA, so shifting is used instead of direct division. But what I do not understand ...
Blaco's user avatar
  • 129
1 vote
1 answer
289 views

I am using Quartus Prime Lite 19.1.0. module memory_address_register1 #( parameter ADDR_WIDTH = 4 )( input clk, rst, load, input [...
Blaco's user avatar
  • 129
1 vote
0 answers
95 views

I am trying to implement the controller for a simple CPU in VHDL. The controller is modeled as an ASM that waits in a decode state until it receives the start signal. The next state that it progresses ...
ajflj's user avatar
  • 135
0 votes
1 answer
1k views

I'm trying to study for an exam and I've been searching for any videos or images or pages explaining the time diagram for the D-Latch that involves the preset and clear. I'm finding a lot of results ...
user avatar
0 votes
1 answer
668 views

I'm new to Verilog and basically trying to teach myself a Digital Logic Design module for university. I am trying to write a BCD Adder in Verilog using two Full Adders with some logic in between for ...
Wesley Shaw's user avatar
1 vote
1 answer
2k views

I am implementing a 4-bit ALU using Verilog. I am getting some weird results in testbecnh. Here is code for the ALU: `include "ripple_carry_adder_4.v" module alu_4(A, B, CTRL, Y); ...
yukinoda's user avatar
4 votes
1 answer
933 views

I have been reading this upvoted answer on Stack Overflow: https://stackoverflow.com/a/26129960/12311164 It says that replacing wait(delay, units); in SC_THREAD to next_trigger(delay, units) in ...
user avatar
-2 votes
1 answer
139 views

If I have an encoder with 8 data inputs, what is its maximum number of outputs? I know that an encoder is a combinational circuit that performs the reverse operation of a decoder. It has a maximum of ...
user1286550's user avatar
1 vote
1 answer
661 views

I have a 4 bits std_logic_vector whose values are represented in 2's complement. And I want to extract it's unsigned value signal FOURbits_2scomplement : std_logic_vector(3 downto 0); signal ...
JeanDujardin's user avatar
3 votes
1 answer
7k views

Is there an existing function within the regular std.logic library to convert a boolean data type to std logic in vhdl?
Tellrell White's user avatar
0 votes
1 answer
383 views

I have a design I've implemented using vhdl that is triggered based on a clock that sends an input signal to one of 8 output channels based on the sel input and also another 2 bit input. The ...
Tellrell White's user avatar
1 vote
0 answers
310 views

Based on research, the input value to a flip flop is read during one rising/falling edge and output at the next rising/falling edge, however, I'm not seeing this behavior in my test bench.What I ...
Tellrell White's user avatar
-8 votes
1 answer
78 views

Lesson 2: Design an address decoder for 64 KB memory from 16KB memory ICs, knowing that the memory base address is 94000H and the address decoder is designed using circuits combinatorial logic.
Tờ Ân's user avatar
0 votes
1 answer
995 views

If the PC register is simultaneously read and written, does its read data contain the previous data or the newly-written data? Based on my understanding of sequential circuits, the effect of the write ...
marshblocker's user avatar
0 votes
1 answer
817 views

I'm using yosys to synthesize simple circuits and show how the result varies with the cell library. However, it looks like the result is not well optimized. I'm using the library vsclib013.lib ...
etnapoli's user avatar
1 vote
1 answer
265 views

The question is this: wx'y'+wyz'+w'x'z I tried this technique but got stuck: w(x'y'+yz')+w'x'z (w+x'z)(w'+x'y'+yz') (w+x')(w+z)(w'+x'y'+yz') but this is not correct since it should end with 4 pos ...
Srinivas NanneBoina's user avatar
0 votes
1 answer
125 views

The answer to the question is A in case someone thinks Im cheating for a test or something. I really wan't to understand how to solve such questions. I am guessing there is some way to bring these ...
user737163's user avatar
0 votes
1 answer
2k views

How do I implement a 8:1 MUX using 3:8 decoders and 2 input gates? I know how a 8:1 MUX works and how a 3:8 decoder works but I am not able to understand the approach to convert the decoder to mux ...
Savannah Madison's user avatar
1 vote
2 answers
94 views

If we run the following Chisel3 code class Controller extends Module { val io = IO(new Bundle { }) val sff = Module(new SFF) val frame: Vec[UInt] = Reg(Vec(ProcedureSpaceSize, Integer32Bit)) ...
apen's user avatar
  • 712
3 votes
1 answer
277 views

I am using Chisel3 to build my circuit, and I have the following test reset() private val inputData = IndexedSeq.fill(ProcedureSpaceSize)(0: BigInt) .patch(0, Seq(63: BigInt), 1) .patch(1,...
apen's user avatar
  • 712
3 votes
2 answers
537 views

How do you test modules with IO input port of type Vec, Bundle, or composition of these? In other words, using PeekPokeTester, how do you properly poke() a port that is of type Vec, Bundle, or more ...
apen's user avatar
  • 712
0 votes
1 answer
39 views

They're actually initialized to the character '0' (ie 48). I'm making a logic simulator and each bit is represented as a single char, either '0' or '1'. All of my other components have worked until I ...
Reuben Z's user avatar
0 votes
1 answer
3k views

Would you take a 7-bit logic variable as an input and return an 8-bit logic output with the parity bit being the MSB (leftmost bit) of the output? In a system that uses even parity you want the total ...
CEStudent's user avatar
2 votes
0 answers
901 views

I am currently working on a school project and one of my tasks is to implement a 16-bit by 16-bit 2's complement integer divider as a digital logic circuit (in other words 16-bit input divided by ...
xavieremeralds's user avatar
1 vote
2 answers
13k views

I learned two ways of writing pipeline(unblocking and blocking), I wonder which is better? My personal opinion is that the second one is tedious and I don't understand why so many wire are needed. ...
ChufanSuki's user avatar
1 vote
0 answers
140 views

Let's say I have the following logical circuit: How can I create a simplified version (assuming that one exists) without laboriously creating a truth table for it? I was thinking of perhaps writing ...
daedsidog's user avatar
  • 1,791

1
2 3 4 5