Skip to main content
Filter by
Sorted by
Tagged with
35 votes
2 answers
4k views

I'm using the command line argument -modelsimini <modelsim.ini> to specify my own modelsim.ini file for most QuestaSim / ModelSim executables. This works perfectly fine on Linux for vcom and ...
Paebbels's user avatar
  • 16.5k
25 votes
3 answers
126k views

So it ended up that the bug that had kept me on for days, was a section of code that should have evaluated to False evaluating to True. My initial code went something like: if(~x && ~y) begin ...
SleepingSpider's user avatar
17 votes
3 answers
27k views

I learned that a signal is not changed immediately when encountering an expression, but when the process ends. In this example here: ... signal x,y,z : bit; ... process (y) begin x<=y; z<=...
Andry's user avatar
  • 16.9k
16 votes
9 answers
26k views

As you can see, the font of modelsim's text editor is very small. But I can't change the size in Tools->Edit Preferences->Source Window->Fonts. However, I can make the letters bigger by set the DPI ...
Wtswkz's user avatar
  • 333
15 votes
2 answers
14k views

I am running some VHDL through ModelSim. Each error and warning has its own error code (like this: (vcom-1292) Slice range direction "downto" specified in slice with prefix of unknown direction. This ...
Philippe's user avatar
  • 3,730
13 votes
3 answers
17k views

Below is the code that I am running. My question is why doesn't the 3rd wait until trigger in modelsim? The console output is simply GOT HERE. It never gets to the line GOT HERE 2. I would think ...
Russell's user avatar
  • 3,465
12 votes
1 answer
6k views

I am looking for a way to toggle leaf names of the nets in ModelSim view, in GUI mode. In other words, an equivalent of pressing "Toggle leaf names <-> full names" button: I am aware of the way of ...
user avatar
11 votes
1 answer
29k views

I can open Modelsim project files by doing File->Recent Projects. However I do not know any other way to open projects. If I use File->Open it only opens up individual files, not projects. How can ...
neuromancer's user avatar
9 votes
2 answers
6k views

Can I make ModelSim simulation to display text (rather than a numeric value) on a signal? I have a couple of state-machine states say, localparam S_IDLE = 2'b00; localparam S_START = 2'b01; ...
SleepingSpider's user avatar
9 votes
1 answer
4k views

Installed Quartus 13.0 with Modelsim in Fedora 22 64-bit. Running Quartus in 32-bit because I get lots and lots of problems otherwise. However, I can start Quartus, create a project, synthesize it, ...
Johan's user avatar
  • 5,073
8 votes
2 answers
18k views

I'm using Ubuntu Linux 14.04 LTS with Altera Quartus 15.0 web-edition and I'm having a hard time simulate my design due to licensing errors. I'm designing an LCD_driver for the VEEK-MT's LCD touch ...
Doron Behar's user avatar
  • 2,916
7 votes
2 answers
3k views

How do I detect the timescale precision used in a simulation from the source code ?. Consider I have a configuration parameter(cfg_delay_i) of some delay value given by user in timeunits as fs .If the ...
Sreejin TJ's user avatar
7 votes
1 answer
2k views

I want to run a simulation in Quartus. So I assign a Testbench in the Assignment menu. My testbench includes my DUT(D) and a extra component(E), which is only for simulation (so this component ...
alabamajack's user avatar
6 votes
2 answers
3k views

I'm setting up automated regression testing for an FPGA project, almost exactly as described here: Continuous integration of complex reconfigurable systems Now I want to get test results (from VHDL ...
Ben Voigt's user avatar
  • 286k
6 votes
1 answer
119 views

A known problem in VHDL is that using parts of a return statement (e.g to_string(slv(i))'length) will generate a warning/error on compile. To work around an unknown string length, I tried using the ...
Pelle's user avatar
  • 131
6 votes
1 answer
5k views

I'm trying to speed up debugging. In a large trace I'm search for particular values of a signal. Im using QuestaSim 10.0b under linux. I already found out that can be done in Modelsim/QuestaSim with ...
sebs's user avatar
  • 4,796
6 votes
3 answers
17k views

I am writing a VHDL test bench for a ethernet MAC. The testbench consists of a package and an combined entity + architecture file. I want to read the ethernet frames that the testbench will send to ...
youR.Fate's user avatar
  • 836
6 votes
1 answer
12k views

It's the first time i try to generate a VCD and i am getting some troubles. I have a testbench called bench_minimips.vhdl that contain the entity sim_minimips. I want simulate it and get a VCD out of ...
Stefano's user avatar
  • 4,041
6 votes
4 answers
10k views

The standard way to test VHDL code logic is to write a test bench in VHDL and utilize a simulator like ModelSim; which, I have done numerous times. I have heard that instead of writing test benches ...
DigitalOne's user avatar
5 votes
7 answers
2k views

Sorry for Newbish question. I am trying to learn about FPGA programming. Before I spend $1K on a FPGA board: if I just want to learn Verilog, can I run it entirely in Modelsim? (I realize there are ...
anon's user avatar
  • 43.1k
5 votes
3 answers
38k views

I want to make power function using vhdl where the power is floating number and the number is integer (will be always "2"). 2^ some floating number. I use ieee library and (fixed_float_types.all, ...
user1673892's user avatar
5 votes
2 answers
6k views

I'm currently using Modelsim 10.1 alongside ISE 13.4 and run a very simple test bench. All code is VHDL. I ran into trouble using VHDL's assert statement the other day: Errors and warnings are output ...
FRob's user avatar
  • 4,131
5 votes
2 answers
5k views

In some testbench code I use a procedure to do something with a signal. I then use this procedure multiple times in sequence on different signals. This works fine as long as I explicitly define the ...
mbschenkel's user avatar
  • 1,905
5 votes
1 answer
2k views

I am currently setting up a Cocotb based verification environment. I just discovered that the example provided with Cocotb don't work in my case if using VHDL, because my simulator has no FLI (...
user1654361's user avatar
5 votes
1 answer
12k views

I'm having trouble getting the following report statement to work: report "ERROR: instruction address '" & CONV_INTEGER(a(7 downto 2)) & "' out of memory range." severity failure; Where a is ...
Nate's user avatar
  • 29.1k
5 votes
2 answers
7k views

I am having trouble with running a Verilog project with ModelSim Student Edition 10.2c. Everything compiles without error, however I get the following error at runtime: # vsim -gui work.testbench # ...
CodeKingPlusPlus's user avatar
5 votes
2 answers
13k views

Is there a way to tell the simulator (I'm using Modelsim) to pull a signal to weak 'H' when it's not being driven by either bidirectional interface? For example if I have an I2C signal I2C_SDA that ...
Russell's user avatar
  • 3,465
5 votes
3 answers
3k views

I'm trying to dump internal signals from a simulation executed either by modelsim or ghdl. Everything works fine using: For modelsim, add vhdl sources, and compile all then: vsim -novopt work....
Tarek Eldeeb's user avatar
5 votes
2 answers
28k views

Why does Modelsim complain about the component instantiation i1? Time: 0 ps Iteration: 1 Instance: /vhdl2_uppgift_1_extra_vhd_tst/i1 ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, ...
Lasse Karagiannis's user avatar
5 votes
1 answer
2k views

I'm using Modelsim's VHDL-Compiler (vcom) for code linting with SublimeText (VHDL 2008). While initializing an array of standard_logic_vector I get the following warning: vcom: warning Warning - (...
Peter's user avatar
  • 51
5 votes
2 answers
5k views

I would like to write a nice function that adds signals and process variables to the wave. While it's quite easy with signals, I don't know how to do it with variables. I would expect something like "...
Andy's user avatar
  • 644
5 votes
1 answer
2k views

This simple test leads to an error while compiling with modelsim whereas Quartus is fine to go through the whole synthesis/fitter process. library ieee; use ieee.std_logic_1164.all; entity submodule ...
grorel's user avatar
  • 1,456
5 votes
0 answers
805 views

Is there somebody who has used 'Scons' as a replacement for 'make' for quite large FPGA projects? Did it ran out-of-the-box or is there still some hacking to be done for the VHDL or Verilog language? ...
vermaete's user avatar
  • 1,373
4 votes
3 answers
10k views

I am trying to build a test bench in SystemVerilog using a clocking block cb_module. I am running Modelsim from the command line: vsim -c test_bench -do "run -all" Everything works fine but I can ...
AxelOmega's user avatar
  • 972
4 votes
5 answers
4k views

I am trying to execute a regression test in Modelsim. I call a TCL script which compiles my source files and launches vsim. I launch a .do file and it runs a series of testbenches which all output ...
jarickc's user avatar
  • 61
4 votes
6 answers
47k views

I'm writing VHDL code for a d-flip-flop on Modelsim and I get an error when I try to simulate it: Error: (vsim-3601) Iteration limit reached at time 400 ps. I'm not sure what it means, but I've ...
user607444's user avatar
4 votes
2 answers
24k views

How can I write wdata[((8*j)+7) : (8*i)] = $random; in verilog programming language? , where i and j are reg type variable. Modelsim gives error for constant range variable. How could I write it in ...
Xeroxpop's user avatar
4 votes
1 answer
5k views

I'm using ModelSim / Questa-SIM from command line in GUI mode. If ModelSim runs in GUI mode I would like to execute a 'Zoom Fit' from my imported 'wave.do' file. I pass this file to vsim by -do wave....
Paebbels's user avatar
  • 16.5k
4 votes
1 answer
6k views

I'm getting the following error in ModelSim: Error: [..]/test1_toVectorAlignment_rtl.vhd(40): Ambiguous type in infix expression; t_RAMXx8 or ieee.std_logic_1164.STD_LOGIC_VECTOR. ARCHITECTURE rtl ...
deinocheirus's user avatar
  • 1,863
4 votes
2 answers
438 views

I have the following code: module shifter( input[7:0] in, input[1:0] amt, output logic[7:0] out ); always_comb case(amt) 2'h0: out = in; 2'h1: out = {{in[6:0]}, 0}; 2'h2: out ...
jeanluc's user avatar
  • 1,738
4 votes
1 answer
2k views

I'm new to FPGAs. I've been doing some simple tests and I found an issue I don't fully understand. I have a 50MHz clock source. I have a signal defined as: SIGNAL ledCounter : integer range 0 to ...
RobC's user avatar
  • 521
4 votes
2 answers
14k views

I want to make a script, which can be executed from shell like: ./myscript -test1 or tclsh myscript.tcl -test1 I want it to open ModelSim, compile units, load a desired testbench, run simulation. ...
RaZ's user avatar
  • 384
4 votes
3 answers
14k views

I'm trying to debug a Verilog module. I find it tedious to have to stop a simulation, modify code, and then go through the process of starting the simulation again. Is there an easier way?
node ninja's user avatar
  • 33.3k
4 votes
2 answers
2k views

I have a VHDL function that returns a std_logic_vector representation of a record and I want the length of that std_logic_vector. I am able to use the length attribute directly on the function. Why ...
Scott Watson's user avatar
4 votes
1 answer
7k views

Parameters in Verilog code is usually declared with a default value, like: parameter UP = 1; But if the parameters is always to be overridden at module instantiation, then I have also seen ...
EquipDev's user avatar
  • 6,061
4 votes
1 answer
4k views

I've done research on this, but the examples that I've found on other web pages have broken links. I'm looking for an example of how to import a custom VHDL record that is contained in a package into ...
Russell's user avatar
  • 3,465
4 votes
1 answer
961 views

I compiled a large VHDL design in ModelSim successfully. The design is not important here, my question is about ModelSim commands for any VHDL design. Now let's say I have an entity E1 there and I ...
Sadık's user avatar
  • 4,449
4 votes
2 answers
3k views

Background : ModelSim v10.4d installed with quartus v16.0 I wrote a .do file to simulate my design with ModelSim. The steps in my .do file are: 1- vcom *.vhd : compile all sources files and ...
Cong Li's user avatar
  • 419
4 votes
1 answer
283 views

With Modelsim I would like to test a code but one signal always remains uninitialized. Here a code snipped to explain the problem with Modelsim: -- Signal Declaration signal shifter : ...
Norick's user avatar
  • 225
3 votes
10 answers
24k views

I want to reset my editor to the default one in Modelsim but I don't know how. When I double click on a project it opens in Notepad. I tried to change the value of the editor variable from the "Edit ...
kamal's user avatar
  • 96

1
2 3 4 5
16