Skip to main content
Filter by
Sorted by
Tagged with
0 votes
0 answers
54 views

I am designing a 16 bit microcontroller for a college project using Vivado and Verilog. On behavioral simulations i use $readmemh and everything runs fine, the instructions are loaded from memory and ...
Francisco Sousa's user avatar
1 vote
1 answer
99 views

I’m working on an FPGA project and planning to use UVM (Universal Verification Methodology) for verification. I’m confused about the timing of when to apply UVM in the design flow. Should I develop my ...
Kerim Turak's user avatar
2 votes
2 answers
169 views

When I am doing some development with Verilog and Vivado, I wrote some Verilog code as follows: module min_rep_example_A(input clk, input rst_n, output reg[3:0] LED); always @(posedge clk or ...
Cu635's user avatar
  • 78
0 votes
0 answers
89 views

When I'm placing a Standard I/O Cell in Cadence Innovus, Tool is throwing an error as your design contains scan chains, so I want to disable the scan chain while performing synthesis of Design in ...
basavaraj sheelvant's user avatar
1 vote
2 answers
115 views

Is the following Verilog code always synthesizable? I'm trying to use a variable as a bit select into a multibit variable: `define MaxSize 5 wire[(`MaxSize-1):0] myVect; wire myBit; ...
Rader's user avatar
  • 11
0 votes
2 answers
102 views

I am wondering which SystemVerilog coding fits better for ASIC/FPGA Synthesis/linting. I mean for simulation/synthesis/... purpose if it makes any difference and if a coding style is preferred, for ...
jel88's user avatar
  • 35
0 votes
1 answer
257 views

I’m trying to write a TCL script in Vivado 2021.2 that dynamically includes the current date and time in my top module by fetching the name and path of the top module. The following TCL command works ...
Ananth K's user avatar
1 vote
1 answer
67 views

I defined a binary to BCD converter to use on a Basys 3 development board. In simulation, the results are as expected, and it follows the timing exactly. I included the BCD converter in a top module, ...
Florinlego's user avatar
0 votes
0 answers
87 views

I am new to Yosys, and I want to optimize arbitrary netlists to a set of complex cells. For example's sake, let's consider an or-reductions: red_or3x1_test.v module test (A, Y); input [6:0] A; ...
tamo's user avatar
  • 11
0 votes
0 answers
191 views

I have seen some mixed answers for whether or not the division operator "/" could be synthesized for constant values that are not a power of 2 so I went ahead and tried it using Synopsys ...
Sammy Watt's user avatar
-1 votes
1 answer
137 views

I have a table of 16 entries where each entry is 4 bits wide. There is a 4 bit input search vector that i have to find in the table and then return the row number of the matched entry. The entries are ...
Ajay's user avatar
  • 1
-2 votes
1 answer
154 views

I am writing a VHDL module to convert an incoming stream via axi stream (tdata, tvalid, tready and tlast) with tdata's with 8 bits such that the fist 4 bytes are registered in the output port A of 32 ...
aripod's user avatar
  • 57
0 votes
1 answer
261 views

I am converting a TCL script to perl. I have tried it using the system command and back tics. I am executing the perl script in primetime in the following way, exec script.pl. The script contains many ...
Tej's user avatar
  • 1
3 votes
2 answers
218 views

I'm a junior Electronic Engineering student currently learning Verilog. I've been studying various modeling methods in Verilog, including Structural, Dataflow, and Behavioral modeling. I have a ...
MS Keane's user avatar
1 vote
1 answer
85 views

Here's my code: //`timescale 1ns / 1ps module FourBitLedToggle( input res, input Clk, output [3:0] led ); reg [27:0] count;//for 1 second check reg clk1s;//1 second clock ...
Muhammad Hamza's user avatar
0 votes
1 answer
137 views

I'm trying to build a clock divider based on integer counters. the simulation works correctly but the synthesis fails with the error above and marks line 25. I want the clocks to be reset only for one ...
Matan Shaked's user avatar
-1 votes
1 answer
534 views

I'm trying to learn synthesizing with yosys.Im working with verilog now. I know we need a library file for it, and I have written a .v file for it. how do I convert this into a .lib file? In other ...
pixiethepixel's user avatar
0 votes
2 answers
140 views

Inside my top level SystemVerilog module is an instance, (rd_blk), that has an interface modport, (sec_rd). I need to temporarily connect it to combinational code, but I'm having difficulty coming up ...
DarinT's user avatar
  • 15
1 vote
2 answers
662 views

I am learning SystemVerilog. While coding, the synthesis schematic for the following if statements don't make sense to me. module ifelseDUT( input logic sela, selb, selc, da,db,dc, output logic dout ...
tulamba's user avatar
  • 131
1 vote
1 answer
70 views

I understand what it means when we say we write a wrapper of an RTL code block, that is to create an interface of it with the rest. My question is, when I do synthesis, I also need to write a wrapper ...
Meow _J's user avatar
  • 11
1 vote
1 answer
340 views

For a multi-file Verilog project using Yosys for synthesis, the script would generally look something like the following: # read the all files read_verilog *.v # synthesis synth -top # output ...
Echo_Zero's user avatar
-2 votes
1 answer
500 views

With all FPGA tools I have used so far: Intel Quartus Prime, Xilinx Vivado, Microsemi Libero SoC, it always takes the same amount of time whenever I run synthesis. What I would expect is that the ...
gyuunyuu's user avatar
  • 708
0 votes
1 answer
99 views

In my verilog code I have an array declaration such that: reg [8:0] mem [1024:0] ; In the procedural block, I have this always @(posedge clk) for (i = 0; i < 8; i=i+1) begin ...
Makuta Arguilleres's user avatar
0 votes
1 answer
144 views

I'm currently writing the code of a hardware accelerator in Verilog 2001, and a question has arisen to which I could not find an answer (maybe I don't know how to search for it): There's a simple ...
Julián Andrés Hernández Potes's user avatar
0 votes
2 answers
267 views

module Delay_Module ( input wire clk, input wire [3:0] data_in, input wire [7:0] delay_cycles, output reg [3:0] output_data ); reg [4:0] counter = 0; reg [3:0] memory [0:47]; integer i; ...
Kamran Khan's user avatar
1 vote
1 answer
538 views

I want to create a FIFO with multiple & parameterizable number of inputs and outputs. Saying as soon as there are enough data, the FIFO will pump them out. In my use case, I have 4 inputs and ...
Ratiasu's user avatar
  • 65
1 vote
1 answer
329 views

In post-synthesis simulation on Vivado, the netlist flattens a 2D array to a 1D array. How can we adapt the testbench to the change of these ports? For example in DUT instantiation, feeding values to ...
Farah Bayomi's user avatar
0 votes
1 answer
525 views

Recently, I did some rtl practice like divider and sequence detector. I used the same sdc file to synthesis and it comes from an open source project.(Project link) The following codes come from the ...
benjstark's user avatar
-2 votes
1 answer
895 views

I insert a verilog file into another verilog file by using "`include " And when I used the synplify script(*.tcl) to try to synthesize, one error occured: invalid command name "+incdir+&...
Xiuhua Yang's user avatar
2 votes
1 answer
281 views

I want to figure out whether Verilog syntax can be synthesized by doing practice. The RTL code is shown below: module CRC10 (Clock, Data_In, CRC_En, CRC_Clr, CRC_Out); input Clock; input CRC_En; input ...
benjstark's user avatar
0 votes
1 answer
606 views

After adding the line number_of_lines <= ram[0], Vivado won't synthesize a BRAM component. Instead I got a LUT scheme. module bram3 (clka,clkb,ena,enb,wea,web,addra,dia,dib,doa,dob,rst); ...
dduy_le's user avatar
  • 29
-1 votes
2 answers
488 views

I am new to Verilog. I want to write a simple module to do clock dividing without using PLL. The module is named "uart_brg" since I plan to use it later in an uart module for exercise ...
bruin's user avatar
  • 1,241
1 vote
1 answer
270 views

I am wondering if it is OK to replace flip flop resets with the ternary operator to minimize line count and improve readability. Does the RTL below have the same effect in simulation and synthesis? ...
Mahmoud Maarouf's user avatar
0 votes
1 answer
2k views

I think I may be mis-understanding how the initial block works in Verilog. I have the following bit of code driving hardware sprites: // horizontal and vertical screen position of letters logic signed ...
Didier Malenfant's user avatar
0 votes
1 answer
195 views

In Verilog, I have an input port that I would like to make optional. It's the start pin for a microarchitecture. If user does not want to drive the start pin manually, the module will use its own ...
James Strieter's user avatar
3 votes
1 answer
464 views

I have designed a matrix-vector multiplier with systolic array architecture. I finally got the simulation to work. Now that I want to synthesize the design it seems that the data_flow control block is ...
engineer1155's user avatar
0 votes
1 answer
2k views

I simulated the rtl code and got the desired output, but when I run the post synthesis functional simulation, I see some random signals in the simulator screen and getting wrong output. This is my ...
Arun Kumar's user avatar
0 votes
1 answer
1k views

I am able to simulate my cpu with no errors and received the waveforms I expected. So I went to run the synthesis and I received my foreplaning, i/o planning, and etc. However it did not produce a ...
Bean's user avatar
  • 23
-1 votes
1 answer
162 views

I am currently trying to implement a UART-simulation into an FPGA. The idea is, to just cycle through predefined data (defined by byte_array_com_message_1 - 4) which will then be put out on one line (...
user44791's user avatar
1 vote
1 answer
70 views

The module I'm trying to get working takes in a pulse data stream with pulses occurring between 500 and 12500 clk cycles, and it needs to delay the stream by a specified number of clk cycles between ...
FillenNaymeer's user avatar
1 vote
1 answer
124 views

I tried to change a variety of different issues like the begin-end statements but nothing seems to be working. I also tried changing the reg [3:0] to [7:0] since I was also getting some warnings I'm ...
livelovepink1's user avatar
-2 votes
1 answer
161 views

What will the be DC synthesis output? I don't have access to DC. If a=1 and b=1 will both address locations get updated ? Addr1 and addr2 are logic as well and guaranteed not to be equal. logic [3:0][...
Rez's user avatar
  • 1
0 votes
1 answer
911 views

I've implemented a moving average filter (in Verilog), based on an article I read which performs differently pre and post synthesis. The design implements $y[n]=y[n-1]+x[n]-x[N-1]$. module ma_width #(...
jrive's user avatar
  • 248
2 votes
0 answers
180 views

I have a decoder defined in verilog as: module my_decoder( input [3:0] in, output reg[19:0] out ); always@(*) begin case (in) 4'd0: out= 20'd114912; 4'...
gudise's user avatar
  • 249
2 votes
0 answers
299 views

Similiarly to the question asked here, I face issues managing very large vectors in FPGA, and nothing really helped in the previous topic. I have a 2^15 bits wide sample, I want to make something ...
Basil1402's user avatar
1 vote
2 answers
898 views

I have a following piece of code: module cw305_reg_aes #( parameter pADDR_WIDTH = 21, parameter pBYTECNT_SIZE = 14, parameter pPK_WIDTH = 800 // 800 * 8 )( input wire ...
Tarick Welling's user avatar
1 vote
1 answer
1k views

logic [4:0] count_zeros; logic [2:0] id; integer i; logic [7:0] [15:0] vld; always@* begin count_zeros = 5'b0; for (i=0; i<2; i=i+1) count_zeros = count_zeros + ~vld[id][i]; end For an ...
vsh's user avatar
  • 13
1 vote
1 answer
2k views

Are there any problems with synthesizing the following?: // Read entry assign entry[7:0] = my_array[read_address[10:0]][7:0]; Where read_address is a signal being used to read my_array. I'm used ...
Veridian's user avatar
  • 3,697
1 vote
0 answers
878 views

In Lattice Diamond FPGA synthesis tool, pin placement is specified through a LPF (extension .lpf) file. However, only a single LPF file can be active at a time. Is it possible to include another LPF ...
EquipDev's user avatar
  • 6,061
0 votes
1 answer
1k views

I have this simple code checked with Quartus II. First, It gives me error 5000 iterations for loop limit then I try to change verilog constant loop limit variable in settings and now it is giving me ...
Dang Nhat's user avatar

1
2 3 4 5
9