Skip to main content
Filter by
Sorted by
Tagged with
-1 votes
1 answer
122 views

I understand the usual textbook difference between signal and variable in VHDL: variable := updates immediately inside a process signal <= updates after a delta cycle variables are local, ...
Hyung Jin Song's user avatar
1 vote
1 answer
105 views

I am trying to write a generic function in vhdl for converting from vector to array. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package test is type slv_arr is ...
Ahmad Zaklouta's user avatar
3 votes
1 answer
158 views

I have a component with unconstrained std_logic_vector (ADDRA : in std_logic_vector). When I use this in a port map, I did this ADDRA(9 downto 0) => DpSysAddrTrunc(9 downto 0). I'm using Lattice, ...
maximus's user avatar
  • 312
1 vote
1 answer
85 views

Assigning to the float32 type produces the error below in questa 2024.3 on eda playground. ** Error: testbench.vhd(9): Real literal 0 is not of type ieee.float_pkg.float32. ** Error: testbench.vhd(14):...
Mikef's user avatar
  • 2,620
-1 votes
3 answers
258 views

How should I create a slow clock derived from a (possibly much) faster clock without creating a gated clock, and while maintaining 50% duty cycle on slow_clk? In the code below the input port clk is ...
user2105392's user avatar
-1 votes
2 answers
126 views

Suppose I have VHDL code that has a generic string STACK with the value "top". I want to pass it to a SystemVerilog module as a parameter, but SV does not have a type compatible with VHDL ...
jmhiu's user avatar
  • 1
3 votes
2 answers
98 views

I have a general question regarding the calling of procedures with other types. In the general sense, what is the correct way to declare an overload of a procedure so it may be called later using ...
Pelle's user avatar
  • 131
6 votes
1 answer
119 views

A known problem in VHDL is that using parts of a return statement (e.g to_string(slv(i))'length) will generate a warning/error on compile. To work around an unknown string length, I tried using the ...
Pelle's user avatar
  • 131
2 votes
2 answers
221 views

I'm working on a VHDL project where I need to implement a comparator between two 16-bit std_logic_vector signals, a and b. The goal is to check if a is greater than, less than, or equal to b. The ...
Raeziel's user avatar
  • 21
2 votes
0 answers
86 views

In Quartus II it was possible to make a flexible RAM component with a little "generic" modification to a SINGLE file generated by MegaWizzard manager. So it was so easy and fast to add ...
Владимир Смирнов's user avatar
0 votes
1 answer
115 views

Sadly, stack wont let me post an image yet...so,for uni i have to recreate a circuit in vhdl code, but when i run it simulate it in Modelsim, all vectors (except input_vector) remain undefined. I get ...
rafas kout's user avatar
0 votes
0 answers
122 views

I have a VHDL design that includes the Xilinx FFT IP, and I am using cocotb with Aldec Riviera for the simulation. In the cocotb makefile, I insert all my vhdl source files (including the .vhd file of ...
James's user avatar
  • 81
3 votes
1 answer
140 views

I want to instantiante a generic package so that it's visible to an entity such that a generic to the entity is passed as a generic to the package one of the port types of the entity is defined in ...
yoyo's user avatar
  • 133
0 votes
1 answer
95 views

Can a function return a sub-type, e.g.: function foo() return signed(15 down 0) is ... It doesn't works on the Xilinx compiler I'm using, but return signed (no sub-type) does. However I don't know if ...
Howard Lovatt's user avatar
-2 votes
2 answers
117 views

so what is the difference between these two vhdl programs: process(clk) begin if(clk=1) q<=d; end if;end process; and this one: process(clk) begin if(rising_edge clk) q<=d; end if;end ...
Gahit Moncef's user avatar
1 vote
1 answer
164 views

The code below produces - Error (10327): VHDL error at XXXX.vhd(1581): can't determine definition of operator ""&"" -- found 47 possible definitions Error (10647): VHDL type ...
Martin's user avatar
  • 127
0 votes
0 answers
54 views

I'm trying to do some operations in a Xilinx FPGA. Here is my code. When i simulate the code, the error validation signal does not assert. i need the signal to allow the next step of operations to ...
user25444550's user avatar
0 votes
0 answers
107 views

I'm working with GHDL (GHDL - v6.0.0-dev - Ubuntu 24.04 (x86-64, LTS) - gcc backend) and LCOV to analyze code coverage for VHDL projects, but I'm encountering unexpected behavior in the branch ...
AGHMOUR Abdelmalek's user avatar
1 vote
1 answer
125 views

I know that in VHDL signal assignments incur a delta-cycle of delay, meaning that if I have: bar <= foo; bar will update one delta cycle after foo changes. But what about port connections? I know ...
Connor's user avatar
  • 652
0 votes
0 answers
139 views

I am making a mockup CPU in VHDL. I am using Vivado for simulation and programming environment. I need help understanding why my simulation won't follow through with the nestled loops. I need ...
Johan Svensson's user avatar
2 votes
1 answer
114 views

I'm trying to make a single-cycle processor and I was planning on doing something like the following: Clock rising edge: Opcode is latched onto output of block memory (this must be on a rising edge), ...
IDontKnowWhatToPutAsMyUsername's user avatar
0 votes
0 answers
127 views

In a previous question, I noted that this is not allowed in VHDL 2008: type pipi is array (natural range <>) of bit; type papa is array (natural range <>) of pipi; constant foo : papa := (...
Aaron Linnell's user avatar
-3 votes
1 answer
119 views

I am designing a driver of the classic LCD1602 module by VHDL. There are many good examples on the web. But when I tried to write it in my own way, I just can't make it work. Here is my code. library ...
zipeilu's user avatar
  • 21
1 vote
0 answers
102 views

I'm trying to describe a generic multi-bit register, with N bit input and output signals, a reset bit and an enable bit for writing to the register, but even though it works properly in the behavioral ...
Manchineel's user avatar
1 vote
1 answer
81 views

I have an array of std_logic_vectors. The length of the array and std_logic_vectors are variable based on generics. How can I concatenate all the std_logic_vectors in my array without knowing the ...
Q-Tip's user avatar
  • 113
0 votes
0 answers
141 views

Consider these types type pipi is array (natural range <>) of bit; type papa is array (natural range <>) of pipi; This constant declaration is allowed and the index constraint on (each?) ...
Aaron Linnell's user avatar
0 votes
1 answer
177 views

I want to create an unsigned in a function whose length is determined by the function parameters. I thought that was a legit thing to do- pretty sure I've even done it before, but maybe not- but ISE ...
Jim Clay's user avatar
  • 119
1 vote
1 answer
111 views

I'm making a beverage vending machine with the inputs: clk, reset, leu1(1 of currency), lei5(5 of currency), 10lei(10 of currency), 3 product inputs: product_3lei(costs 3 of currency), product_5lei(...
Antonius Florea's user avatar
-3 votes
1 answer
110 views

There is a code that should switch CHG_UP if the OVR and UND variables are switched. entity switcher_for_diod is Port ( OVR : in STD_LOGIC; UND : in STD_LOGIC; CHG_UP : out ...
Антон Козлов's user avatar
-1 votes
1 answer
136 views

I use the ieee_proposed library and try to do a newton-raphson refinement. The code compiles and simulates, but I get no signals. It works with float32 in the library ieee.float_pkg.all. But, I want ...
Yassin Atwa's user avatar
1 vote
1 answer
82 views

I am a am currently studying VHDL and I have encountered an interesting problem. I have created an entity Sklop with the architecture Beh2: entity Sklop is port ( x : in bit; y : in bit; ...
pat._'s user avatar
  • 51
-1 votes
1 answer
112 views

Consider a situation where you need to initialise an (1D) array with a length of one, hence containing a single element, using a qualified expression, like this1: -- Declaration of type of an integer ...
aschipfl's user avatar
  • 35.3k
0 votes
1 answer
99 views

I'm trying to implement a floating point adder/subtractor. I've already achieved the code to work. When I run the simulation it works as expected. The thing is when I try to synthesize it in Vivado I ...
Sofía Acuña's user avatar
1 vote
1 answer
92 views

The following code results in a combinational logic warning but I don't see it. Perhaps someone here can explain. process(clk_count_400hz) begin if (clk_count_400hz <= x"002710") then ...
treecatt's user avatar
1 vote
1 answer
105 views

Questa gives this error (compiling with -2008 on EDA Playground) -- Compiling architecture rtl of foo ** Error: design.vhd(31): Type error resolving infix expression "xnor" as type ieee....
Mikef's user avatar
  • 2,620
-1 votes
1 answer
109 views

I have been learning Verilog for a class I am taking and ran across something a bit strange to me. If I were to write a DFF in VHDL (which I am more used to), I would do something like: DFF : process(...
Nathan S's user avatar
1 vote
1 answer
82 views

When compiling my vhdl code is get a conversion error at line 28. Error: Error (10305): VHDL Type Conversion error at registers.vhd(28): cannot convert type " universal_integer" to type &...
Zachary Adolphsen's user avatar
1 vote
2 answers
114 views

library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity design1 is end entity; architecture beh of design1 is type slu_2dim is array (natural range <>, natural range &...
Bimo's user avatar
  • 6,771
-2 votes
1 answer
122 views

If I have a the following case statement in a VHDL code case state is when ST_STATE1 => a <= '1'; when ST_STATE2 => b <= '1'; when ST_STATE3 => a &...
po.pe's user avatar
  • 1,154
-1 votes
1 answer
78 views

Let's say I have a signal B that evaluates its value from signal A. It's messy to write the whole operation in one line so I would like to use an intermediate signal A_rounded (my actual code is a lot ...
SaltTuk's user avatar
  • 11
-4 votes
1 answer
193 views

i try to split a HLS stream which is incoming from the interface. This stream contains a data stream and a user stream with control signals (startFrame, stopFrame, startLine, etc). I want to use an xf:...
MJ_Dred's user avatar
0 votes
1 answer
130 views

I'm having a hard time realising the multiplication process inside my 16-bit ALU. The obvious problem is that I have 2 16-bit inputs and one 16-bit output when the result is actually of 32-bits. I ...
Thomas Gavris's user avatar
1 vote
1 answer
145 views

I am trying to write a VHDL simulation module that reads a binary file containing 16-bit input samples. The code is as follows : library ieee; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ...
Matei-Răzvan Obogeanu's user avatar
-2 votes
1 answer
122 views

I'm implementing my first RISC-V processor in VHDL-2008. For simulation I'm using GHDL (version 5.0). The processor structure looks like this: my_implementation | |___test.vhd - ...
mozerpol's user avatar
-2 votes
1 answer
154 views

I am writing a VHDL module to convert an incoming stream via axi stream (tdata, tvalid, tready and tlast) with tdata's with 8 bits such that the fist 4 bytes are registered in the output port A of 32 ...
aripod's user avatar
  • 57
0 votes
2 answers
201 views

I am trying to detect when an oscillating signal stops oscillating. I thought the best approach would be to use the 'stable attribute. When I go to synthesis, it gives an error saying "attribute '...
jukebox41188's user avatar
0 votes
2 answers
88 views

I was wondering what is the difference between process (clk) if (clk = '1') then and process (clk) if (clk'event and clk = '1') then I thought the sensitivity list defined on which signals a state ...
Jibril Radji's user avatar
-1 votes
1 answer
173 views

I have a VHDL entity with some unconstrained std_logic_vector ports that is wrapped by a verilog module that clearly defines those port widths. Verilog wrapper: module conv_wrapper (din,dout,clk,ce); ...
Fo0ty's user avatar
  • 31
-1 votes
1 answer
796 views

xc7s25csga225-1 FPGA Vivado v2023.2.2 (64-bit) VHDL i keep getting the error: [DRC UTLZ-1] Resource utilization: F7 Muxes over-utilized in Top Level Design (This design requires more F7 Muxes cells ...
Gorilla Sapiens's user avatar
0 votes
1 answer
102 views

Say I have the following type definitions: type my_type is record a : std_logic_vector; end record; type my_type_a is array (integer range <>) of my_type; subtype my_subtype is my_type(a(7 ...
Dave's user avatar
  • 9

1
2 3 4 5
117