I don't know why I have a problem with compiling my Verilog code. I have these errors:
I have Icarus Verilog installed, and I checked it using the iverilog command. I also added the path for VSCode and for Iverilog.
Code:
`timescale 1ns/1ps
`include "not_example1.sv"
module testbench;
parameter BITS = 4;
logic [BITS-1:0] s_in;
logic [BITS-1:0] s_model_outs;
not_example1 #(.NUM(BITS)) not_model (.i_a(s_in), .o_y1(s_model_outs));
initial
begin
$dumpfile("signals.vcd");
$dumpvars(0,testbench);
s_in = '0;
#1 s_in = '1;
#1 s_in = '0;
#1 s_in = '1;
#1
$finish;
end
endmodule

