Skip to main content

Questions tagged [system-verilog]

In the semiconductor and electronic design industry, SystemVerilog is a combined hardware description language and hardware verification language based on extensions to Verilog.

Filter by
Sorted by
Tagged with
-1 votes
1 answer
70 views

Code link: [https://edaplayground.com/x/9cte] For the below code ...
Kartikey's user avatar
  • 167
-3 votes
0 answers
51 views

let say I have a simple DUT that contain 1 register that can be written or read. this DUT works in a way that when accessing this register (by request), it would return a response. is this the correct ...
מתן שלו's user avatar
3 votes
2 answers
134 views

I've tried to implement a JK flip flop in Verilog, but while testing, I found that whatever inputs of j and k I give on startup, until I reset the flip flop (j=0, k=1), the outputs will not be seen ...
Samy R.'s user avatar
  • 31
1 vote
1 answer
81 views

Context : I have been tasked with testing a HC-04 Ultrasonic sensor with Verilog, and below is the Verilog code, the testbench and the waveform that I am getting, ...
whatamidoing's user avatar
1 vote
1 answer
111 views

I am trying to implement clock gating logic manually using a latch and an AND gate as shown in the figure. The latch has an enable (en) and a done signal which are ...
rachana's user avatar
  • 19
2 votes
1 answer
74 views

...
PlusOneDelta's user avatar
1 vote
1 answer
115 views

I'm working on a Verilog project using ModelSim, and I've created a testbench to simulate the behavior of a module called Elevator_FSM, which models an elevator's operation. My goal is to assign ...
Gr_10's user avatar
  • 61
2 votes
1 answer
124 views

I'm working on a Verilog task that rearranges bits from a 312-bit word into a new 312-bit format using 8-bit temporary storage (temp[39]). Below is a simplified ...
Carter's user avatar
  • 673
1 vote
1 answer
70 views

module t; reg a; initial a <= #4 0; initial a <= #4 1; initial $monitor ($time,,"a = %b", a); endmodule Output of above Verilog code is: ...
kittygirl's user avatar
  • 193
3 votes
2 answers
438 views

Vivado is connecting up the reset signal through a LUT to the CE pin of the FDRE, even though the R pin is available. This is a 2k signal, and it's using up 2k LUTs to do this, unnecessarily. Any ...
stanri's user avatar
  • 5,492
0 votes
0 answers
55 views

I am currently learning Verilog and tried to build an asynchronous counter using T flip flops. But, during the simulation, my most significant three bits become one without any clock signal from the ...
United Dragons's user avatar
1 vote
1 answer
123 views

I am trying to save the results of simulation to a file from a testbench. Here is the problematic snippet: ...
Jacob Morales Gonzalez's user avatar
1 vote
1 answer
65 views

For the code: EDA Playground In the interface code: ...
Kartikey's user avatar
  • 167
2 votes
1 answer
114 views

I just found a bug in hardware that wasted hours of my time, and I never thought about it. I have something like that: ...
無名前's user avatar
  • 450
1 vote
1 answer
144 views

I am trying to create the basic testbench for a simple single port memory but getting the following error: ...
Kartikey's user avatar
  • 167
-1 votes
1 answer
116 views

I want to handle 2 scenarios in a module. The difference is very minimal, few lines at worst. The scenario depends on the instantiation and I would like to avoid having to have 2 separate files for it....
無名前's user avatar
  • 450
1 vote
1 answer
126 views

I am trying to implement a temporally parallel hardware design. The idea is to run multiple quater-rounds in a pipline-like fashion. When I run simulation, I get back this waveform: Why is the ...
K_T's user avatar
  • 641
3 votes
1 answer
106 views

I wrote a Verilog code for fpadder, and when I simulate it with the ModelSim software, it generates a series of incorrect numbers with the provided test bench. So far, I have realized that the ...
Zahra_Alishah's user avatar
3 votes
1 answer
403 views

I have a SystemVerilog module representing a 4-word x 3-bit ROM. It's from a textbook (Harris & Harris Digital Design and Computer Architecture), so I'm sure this isn't a typo. I understand that ...
dishcat15's user avatar
  • 133
4 votes
3 answers
184 views

Where would I find a good reference (or even cheat sheet) on the non-synthesizable functions/syntax/commands that can be used in Verilog with ModelSim? In other words, where would I find a complete ...
SparkyNZ's user avatar
  • 247
1 vote
1 answer
122 views

I have written an FSM Verilog code. For making transition from state S3 to S2 of the FSM , we wait for the signal b or 2 clock cycles, whichever happens first. Here are the design and ...
Kartikey's user avatar
  • 167
1 vote
1 answer
101 views

Link to old question on Designing a 64-bit RISC processor in Verilog Fixed code with no compiler errors being thrown: cpu.v ...
gitt's user avatar
  • 61
0 votes
1 answer
215 views

Update : I never got Newton-Raphson to work. I would suggest trying this with either Binary Search or a digit-by-digit approximation if you are facing similar issues. I am trying to implement a cube ...
Its_RT's user avatar
  • 21
1 vote
2 answers
154 views

How do I cast from 16 bits to 8 bits? ... output [ 7:0] audioData ); bit [15:0] audioSum; // Get the 8-bit output assign audioData = (audioSum >> 1); I ...
SparkyNZ's user avatar
  • 247
1 vote
1 answer
158 views

I have a 28Mhz clock which toggles a slowClk every 14 cycles: ...
SparkyNZ's user avatar
  • 247
1 vote
1 answer
158 views

I am learning basic SV assertions for formal verification. For practicing the assertions, I am given some statements and asked to write assertions for them. These are just statements demanding certain ...
Kartikey's user avatar
  • 167
2 votes
1 answer
98 views

For the following code on simulation, I am getting stable_4_clk[0]=1 at 30 ns and 0 at 40 ns. I thought it would be vice-versa. What is the reason for ...
Kartikey's user avatar
  • 167
2 votes
2 answers
423 views

I am struggling with a couple slightly strange conflicting conventions in Verilog. I have written my own parser, but I am uncertain how to resolve a few things. Looking at the Verilog Spec, I am still ...
meawoppl's user avatar
  • 255
0 votes
1 answer
107 views

I was running the following code and found out that the out1 and out2 are different if I pass in1 or in2 as negative. ...
Sachin's user avatar
  • 1
3 votes
1 answer
231 views

I developed this code for a Graph convolutional network (GCN) module in system verilog: ...
Zarin Manita's user avatar
2 votes
1 answer
149 views

I am very new to SystemVerilog and am still learning the syntax. I wrote this code for a graph convolutional network (GCN) module. ...
Zarin Manita's user avatar
1 vote
2 answers
94 views

I am trying to understand how the copying of the dynamic arrays work in SystemVerilog. Here is a small code snippet I was trying: ...
sv_uvm_practice's user avatar
0 votes
1 answer
94 views

I have a circuit that has 2 ports, A and B, connected through a switch. I want to couple only the signal that's on A port to another port C. I don't want B port to couple on C. This is what I have: <...
Katrina Marrie's user avatar
2 votes
2 answers
573 views

I am finding it hard to understand how handle passing in function arguments works. I have written 3 codes. The last one is giving a bad handle error. First I would like to know if I am right in ...
Kartikey's user avatar
  • 167
3 votes
2 answers
514 views

I want to know if following code is syntactically, synthesiszably correct? Is it a recommended practice, if not, why? Assuming that count is a register which is ...
lousycoder's user avatar
1 vote
2 answers
225 views

Some articles say that if I want to achieve different functions / tasks, it's better to separate the sensitivity list into multiple blocks. So, I'm wondering if I can really do this? For example, ...
Student's user avatar
  • 185
1 vote
1 answer
101 views

Consider the following snippet. ...
avakar's user avatar
  • 3,308
0 votes
1 answer
109 views

Consider the following SystemVerilog snippet. ...
avakar's user avatar
  • 3,308
1 vote
2 answers
297 views

I have a register that I want to update using two different mechanisms Shifting left, triggered by signal a Updating the whole value, triggered by signal ...
snowman's user avatar
  • 39
4 votes
3 answers
760 views

I am trying to start few threads in SystemVerilog, as can be seen in the code below: ...
AlaBek's user avatar
  • 75
3 votes
1 answer
529 views

I'm a software engineer just starting to play with FPGAs (Currently,Nexys A7 100T). I'm having a heck of a time getting the constraints to do what I want, and I can't seem to find the answers online. ...
user2770791's user avatar
1 vote
1 answer
271 views

In Verilog, I want to compare signed and unsigned values. The following code (val > valS) gave me unexpected result. In the code below, unsigned variable (val) ...
matu's user avatar
  • 13
2 votes
2 answers
203 views

I am running circles around the following scenario and have no idea of where the solution will be. The task is to implement the following gray to binary converter in SystemVerilog: I adapted a ...
Jacob Morales Gonzalez's user avatar
0 votes
1 answer
71 views

I tried to consult the Verilog LRM but wasn't successful; some of the reason is because I don't really know the correct terminology. This question is related to this one here, but I never got an ...
EE18's user avatar
  • 1,259
0 votes
2 answers
814 views

I have tried a few ways using for loops to find the least number in array, but having a hard time in updating the pointer whenever a new least value is encountered. I am following the below textbook ...
Saransh Choudhary's user avatar
0 votes
0 answers
80 views

I want to implement a design that follow a paper written by a researcher the part, this lead us to this picture that resume what the code I have so far is supposed to do: As you can se the goal is to ...
fabrice's user avatar
  • 43
0 votes
1 answer
88 views

In FPGA design often we need to instantiate vendor specific IP. This could be simple things like Block RAM and DSP. It could be more complex things like FPU IP. The 3rd party IP is directly ...
quantum231's user avatar
  • 12.4k
0 votes
1 answer
720 views

Assume that I have below module definition with a parameter N: ...
Saransh Choudhary's user avatar
1 vote
1 answer
962 views

I have two Verilog files: our.sv ...
Rainb's user avatar
  • 149

1
2 3 4 5
11